Ejecucion el exploit de Geoth en la PS3

Bueno voy a dejar esta consola por el momento y hacerme con otra(seguramente averiada)para intentar sacar otro dumpeo(posiblemente el ultimo que saque a menos que consiga hacer un dumpeo mas limpio usando un otheros minimo para que quede mas RAM libre).
Un saludo.
LuzbelFullHD escribió:Con los conocimientos adecuados el exploit lo podrías implementar en otras versiones del kernel, otros sistemas operativos validos para PS3 o incluso directamente como un otheros nativo.

Gracias por la aclaración.
Psmaniaco escribió:Bueno voy a dejar esta consola por el momento y hacerme con otra(seguramente averiada)para intentar sacar otro dumpeo(posiblemente el ultimo que saque a menos que consiga hacer un dumpeo mas limpio usando un otheros minimo para que quede mas RAM libre).


Bueno según dices, por donde van los tiros es por recompilar un otheros.bld.
Ahora mismo no se donde lo he leído, pero me pareció muy buena idea, a ver qué os parece: se trataría de recompilar dos versiones de otheros.bld que no tienen que ser mínimos, vale con los que hay ahora, pero modificados de tal forma que a cada uno de ellos se les asigna una mitad distinta de la memoria RAM. Así, cargando el primero podríamos obtener, por ejemplo, la segunda mitad de la memoria intacta (intacta porque el otheros tiene sólo asignada la primera mitad) y en una segunda fase, cargar el segundo otheros que tiene asignada la otra mitad de la RAM, y así obtendríamos la primera mitad de la memoria intacta.
Al final tendríamos dos mitades intactas que harían el dump perfecto. Sólo veo la pega de que como las mitades no se capturan en el mismo instante, puede haber desincronización en los datos entre estas dos mitades. Si se viera que hay algo interesante justo en la mitad, pues se trataría de hacer otro otheros (ahora sí sería mínimo) que ocupe por ejemplo el primer cuarto de RAM.
Bueno, ¿qué os parece la idea? Yo el único que conozco que te den el source es el petitboot ¿Donde hay más fuentes para empezar a trastear?
PD: yo sigo con lo mío de instalar ubuntu en la ps3 con lector roto, y ya tengo el código vhdl para la CPLD que comenté varios post atrás. Dentro de un rato lo pongo por aquí...
shanshe,segun tengo entendido la version del Petitboot no funciona muy bien con el exploit;lo retarda y hace que tenga muchos problemas a la hora de poder instalar las 2 llamadas extras al Hypervisor,asi que es mejor usar la primera version source del otheros.
Un saludo.
Aquí dejo el código en vhdl que he hecho para usarlo con una FPGA o una CPLD. El diseño físico ocupa muy muy poco, sobre el 15% de una XC2C256 que es la que estoy usando. Si le quitamos el retardo inicial de 32ms, se queda en menos del 5%, con lo que valdría para una CPLD XC2C32 (que cuesta sobre 1,5 euros), que es la más pequeña que hay en la serie CoolRunner II de Xilinx.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity top is
    Port ( clk   : in  STD_LOGIC; -- main clock (50 Mhz)
           reset : in  STD_LOGIC; -- entrada activa a nivel bajo (BTN0)
           btn1  : in  STD_LOGIC; -- entrada activa a nivel bajo (BTN1)
           pulso : out STD_LOGIC; -- salida activa a nivel alto (???)
           led0  : out STD_LOGIC  -- salida activa a nivel bajo (LED0)
         );
end top;

architecture Behavioral of top is

type state_machine is ( Power_Up_Delay,
                        Wait_Button,
                        Pulso1,
                        Pulso2,
                        Idle);
signal state : state_machine:= Power_Up_Delay;   
signal led : std_logic := '0';
signal delay_count : std_logic_vector(23 downto 0):= (others=>'0');

begin
process(CLK, RESET, BTN1)
begin
if (RESET='0') then
   state <= Power_Up_Delay;
   delay_count <= (others=>'0');
   pulso <= '0'; -- inactivo
   led <= '1'; -- apagado
elsif (CLK = '1' and CLK'event) then
   case state is
   when Power_Up_Delay =>
      if (delay_count = X"186A00") then -- espera 32 milisegundos (0x186A00 = 32ms/20ns)
         delay_count <= X"186A00";
         state <= Wait_Button;
      else
         state <= Power_Up_delay;
         delay_count <= delay_count + 1;
      end if;
      pulso <= '0'; -- inactivo
      led <= '1'; -- apagado
   when Wait_Button =>
      if (BTN1 = '0') then
         state <= Pulso1;
      else
         state <= Wait_Button;
      end if;
      delay_count <= (others=>'0');
      pulso <= '0'; -- inactivo
      led <= '0'; -- encendido
   when Pulso1 =>
      delay_count <= (others=>'0');
      pulso <= '1'; -- activo
      led <= '1'; -- apagado
      state <= Pulso2;
   when Pulso2 =>
      delay_count <= (others=>'0');
      pulso <= '1'; -- activo
      led <= '1'; -- apagado
      state <= Idle;
   when Idle =>
      if (delay_count = X"5F5E10") then -- espera 125 milisegundos (0x5F5E10 = 125ms/20ns)
         delay_count <= (others=>'0');
         led <= not (led); -- parpadea led0 cada 125 milisegundos
      else
         delay_count <= delay_count + 1;
      end if;
      state <= Idle;
      pulso <= '0'; -- inactivo
   when OTHERS =>
      state <= Power_Up_Delay;
      delay_count <= (others=>'0');
      pulso <= '0'; -- inactivo
      led <= '1'; -- apagado
   end case;
end if;
end process;

led0 <= led;

end Behavioral;

No incluyo el archivo UCF de definiciones de pines, porque aquí se tiene completa libertad de elegir los pines...
Si alguien tiene alguna duda que pregunte...
Una captura de la simulación donde se ven los 40ns (señal nombrada como "pulso"):
Imagen
Evidentemente como es una simulación no está probado que funcione el exploit. Ya os contaré cuando la ps3 se deje instalar ubuntu...
PD: Psmaniaco, me cuesta más un lector de CD externo, que un remplazo de la lente de la ps3... [buuuaaaa]
Saludos


EDITO: esto vale para un reloj externo de 50 MHz.
haber si es verdad y les dan un zas!! en toda la boca!
shanshe escribió:Aquí dejo el código en vhdl que he hecho para usarlo con una FPGA o una CPLD. El diseño físico ocupa muy muy poco, sobre el 15% de una XC2C256 que es la que estoy usando. Si le quitamos el retardo inicial de 32ms, se queda en menos del 5%, con lo que valdría para una CPLD XC2C32 (que cuesta sobre 1,5 euros), que es la más pequeña que hay en la serie CoolRunner II de Xilinx.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity top is
    Port ( clk   : in  STD_LOGIC; -- main clock (50 Mhz)
           reset : in  STD_LOGIC; -- entrada activa a nivel bajo (BTN0)
           btn1  : in  STD_LOGIC; -- entrada activa a nivel bajo (BTN1)
           pulso : out STD_LOGIC; -- salida activa a nivel alto (???)
           led0  : out STD_LOGIC  -- salida activa a nivel bajo (LED0)
         );
end top;

architecture Behavioral of top is

type state_machine is ( Power_Up_Delay,
                        Wait_Button,
                        Pulso1,
                        Pulso2,
                        Idle);
signal state : state_machine:= Power_Up_Delay;   
signal led : std_logic := '0';
signal delay_count : std_logic_vector(23 downto 0):= (others=>'0');

begin
process(CLK, RESET, BTN1)
begin
if (RESET='0') then
   state <= Power_Up_Delay;
   delay_count <= (others=>'0');
   pulso <= '0'; -- inactivo
   led <= '1'; -- apagado
elsif (CLK = '1' and CLK'event) then
   case state is
   when Power_Up_Delay =>
      if (delay_count = X"186A00") then -- espera 32 milisegundos (0x186A00 = 32ms/20ns)
         delay_count <= X"186A00";
         state <= Wait_Button;
      else
         state <= Power_Up_delay;
         delay_count <= delay_count + 1;
      end if;
      pulso <= '0'; -- inactivo
      led <= '1'; -- apagado
   when Wait_Button =>
      if (BTN1 = '0') then
         state <= Pulso1;
      else
         state <= Wait_Button;
      end if;
      delay_count <= (others=>'0');
      pulso <= '0'; -- inactivo
      led <= '0'; -- encendido
   when Pulso1 =>
      delay_count <= (others=>'0');
      pulso <= '1'; -- activo
      led <= '1'; -- apagado
      state <= Pulso2;
   when Pulso2 =>
      delay_count <= (others=>'0');
      pulso <= '1'; -- activo
      led <= '1'; -- apagado
      state <= Idle;
   when Idle =>
      if (delay_count = X"5F5E10") then -- espera 125 milisegundos (0x5F5E10 = 125ms/20ns)
         delay_count <= (others=>'0');
         led <= not (led); -- parpadea led0 cada 125 milisegundos
      else
         delay_count <= delay_count + 1;
      end if;
      state <= Idle;
      pulso <= '0'; -- inactivo
   when OTHERS =>
      state <= Power_Up_Delay;
      delay_count <= (others=>'0');
      pulso <= '0'; -- inactivo
      led <= '1'; -- apagado
   end case;
end if;
end process;

led0 <= led;

end Behavioral;

No incluyo el archivo UCF de definiciones de pines, porque aquí se tiene completa libertad de elegir los pines...
Si alguien tiene alguna duda que pregunte...
Una captura de la simulación donde se ven los 40ns (señal nombrada como "pulso"):
Imagen
Evidentemente como es una simulación no está probado que funcione el exploit. Ya os contaré cuando la ps3 se deje instalar ubuntu...
PD: Psmaniaco, me cuesta más un lector de CD externo, que un remplazo de la lente de la ps3... [buuuaaaa]
Saludos

Pero tambien puedes usar un pendrive,pero es mas dificil hacer que Ubuntu se pueda instalar desde el,de todos modos leete este tutorial:
hilo_tutorial-optimizar-ubuntu-9-10-para-ps3_1394208
Un saludo.
Que tal Psmaniaco, menudo follon has liado jajaja,
una pena que yo ya no pueda continuar en el tema :-( pero aun así si necesitas algo avisa!!

Un abrazo y Animo!
Psmaniaco escribió:Pero tambien puedes usar un pendrive,pero es mas dificil hacer que Ubuntu se pueda instalar desde el,de todos modos leete este tutorial:
hilo_tutorial-optimizar-ubuntu-9-10-para-ps3_1394208
Un saludo.

Gracias Psmaniaco, este tutorial de AzagraMac lo descarté por ser ubuntu 9.10, en vez de 8.10, pero ahora que lo he leído más detenidamente, quizá me aporte ideas para darle solución a mi problema. Muchas gracias de nuevo.
Por cierto, ahora que se va acercando mis pruebas... he visto que hay gente que deja la PS3 abierta mientras hace las pruebas (y para ello necesita alargar algunos cables de alimentación) ¿Tú cómo lo has hecho? ¿Dejas abierta o cerrada la PS3? Al principio no me importaría tenerla abierta, pero como estoy de vacaciones en la playa y sitio no me sobra, pues creo que tendría que cerrarla en cuanto me funcione el exploit.
Saludos.
shanshe escribió:
Psmaniaco escribió:Pero tambien puedes usar un pendrive,pero es mas dificil hacer que Ubuntu se pueda instalar desde el,de todos modos leete este tutorial:
hilo_tutorial-optimizar-ubuntu-9-10-para-ps3_1394208
Un saludo.

Gracias Psmaniaco, este tutorial de AzagraMac lo descarté por ser ubuntu 9.10, en vez de 8.10, pero ahora que lo he leído más detenidamente, quizá me aporte ideas para darle solución a mi problema. Muchas gracias de nuevo.
Por cierto, ahora que se va acercando mis pruebas... he visto que hay gente que deja la PS3 abierta mientras hace las pruebas (y para ello necesita alargar algunos cables de alimentación) ¿Tú cómo lo has hecho? ¿Dejas abierta o cerrada la PS3? Al principio no me importaría tenerla abierta, pero como estoy de vacaciones en la playa y sitio no me sobra, pues creo que tendría que cerrarla en cuanto me funcione el exploit.
Saludos.

¿Que tal AzagraMac?pues si algo liado ando jejejeje.
shanse el tutorial podria servirte para meter el Ubuntu 8.10 en un pen e instalarlo desde hay.
Un saludo.
Psmaniaco escribió:
shanshe escribió:
Psmaniaco escribió:Pero tambien puedes usar un pendrive,pero es mas dificil hacer que Ubuntu se pueda instalar desde el,de todos modos leete este tutorial:
hilo_tutorial-optimizar-ubuntu-9-10-para-ps3_1394208
Un saludo.

Gracias Psmaniaco, este tutorial de AzagraMac lo descarté por ser ubuntu 9.10, en vez de 8.10, pero ahora que lo he leído más detenidamente, quizá me aporte ideas para darle solución a mi problema. Muchas gracias de nuevo.
Por cierto, ahora que se va acercando mis pruebas... he visto que hay gente que deja la PS3 abierta mientras hace las pruebas (y para ello necesita alargar algunos cables de alimentación) ¿Tú cómo lo has hecho? ¿Dejas abierta o cerrada la PS3? Al principio no me importaría tenerla abierta, pero como estoy de vacaciones en la playa y sitio no me sobra, pues creo que tendría que cerrarla en cuanto me funcione el exploit.
Saludos.

¿Que tal AzagraMac?pues si algo liado ando jejejeje.
shanse el tutorial podria servirte para meter el Ubuntu 8.10 en un pen e instalarlo desde hay.
Un saludo.

psmaniaco a este chico se le puede tb proponer el metodo que saco demonhades en su web hace un tiempo , denominado putaralia que era usar el ubuntu en un pendrive, ya no puedo hacerlo por que actualize pero podemos aconsejarselo
un saludo y a ver si reventamos a hypervisor de una vez por todas
Si tiene el kernel que permite inyectar el exploit puede servir tambien el putaralia como instalador.
Un saludo.
Vaya nombre... PUTARALIA... me pongo a buscar información...
Gracias a todos.
Saludos.
Ok,ya me diras si te funciona,para cualquier duda aqui ando.
Un saludo.
shanshe escribió:Vaya nombre... PUTARALIA... me pongo a buscar información...
Gracias a todos.
Saludos.


si es una iso raw en pendrive , pero creo que eso anda por los foros de demonhades , yo lo use un tiempo y estaba muy bien pero , antes de todo esto de los pulsos
un saludo
Para los que quieran hacer el control del puerto paralelo en modo visual. Ver manual aquí.
Gracias Koolk,nos podria ser util esta aplicacion.
Un saludo.
menudo curro que os pegais , cracks aver si podeis conseguir algo animooo
perdonen tal vez este poniendo una burrada pero aquí igual lo dejo como información y esperando les sirva.

es de un twitt de jimmidy:

@Mathieulh This otheros.bld sets up PPU+SPU0 and decrypts a custom build binary I patched into it: http://bit.ly/cD6m8e
11:45 AM Jul 27th via web
Sea burrada o no, nunca se sabe las ideas que pueden alcansar.
Animo Psmaniaco, que el trabajo tendrá su recompensa, pero que no se diga que no lo has intentado con todo tu esfuerzo. Que no decaigan las esperanzas.
Estoy en ello,aunque parezca que esto esta parado no lo esta,estoy a la espera de hacerme con una PS3 averiada para seguir con el tema y asi hacer otro dumpeo.
Un saludo.
esto esta ya mas pparao..................................................................q el coño de mi abuela
rompe escribió:esto esta ya mas pparao..................................................................q el **** de mi abuela


Haber si hay más respeto y dejar de dar la lengua.
Psmaniaco escribió:Estoy en ello,aunque parezca que esto esta parado no lo esta,estoy a la espera de hacerme con una PS3 averiada para seguir con el tema y asi hacer otro dumpeo.
Un saludo.

El dia que sony te haga "la llamada" y nos dejes, por lo menos nos avisaras no? jaja
psmaniaco creo que es del equipo ***** jajajja es broma, deja el tema ya
piraowinky escribió:
Psmaniaco escribió:Estoy en ello,aunque parezca que esto esta parado no lo esta,estoy a la espera de hacerme con una PS3 averiada para seguir con el tema y asi hacer otro dumpeo.
Un saludo.

El dia que sony te haga "la llamada" y nos dejes, por lo menos nos avisaras no? jaja


Unos quieren que dejes el tema, sin embargo otros no.

Si Sony le llama, no dirá nada de nada, o se asusta o tiene buena recompensa para cerrar el teclado.
rompe escribió:esto esta ya mas pparao..................................................................q el coño de mi abuela


13 mensajes y ya llevas 2 faltas. Por favor sigue las normas del foro y no seas tan mal hablado.

Saludos
jamonazo2000 escribió:
rompe escribió:esto esta ya mas pparao..................................................................q el coño de mi abuela


13 mensajes y ya llevas 2 faltas. Por favor sigue las normas del foro y no seas tan mal hablado.

Saludos


Lo que no se da cuenta la mala imagen que se da así mismo. (Inmaduréz).
Lo que diga este no me afecta para nada.
Un saludo.
Psmaniaco escribió:Lo que diga este no me afecta para nada.
Un saludo.


Lo malo que seremos perjudicados todos porque cerraran el hilo por este tipo de personajes :( :( :(
Un par de cuestiones (espero no molestar a nadie)
la 1º No deberíais cambiar el nombre del hilo? puesto que ya habeis conseguido ejecutar el exploit y ahora estais mas bien investigando?
y 2º hace tiempo que tengo una duda y aunque creo que éste no es el hilo adecuado dado la actividad de éste la haré aquí.
El caso es que por ejemplo el último exploit de la psp salió del savegame de un demo de un juego y no he leido u oido de nadie que estuviera investigando con saves de juegos (lo que me da a pensar que lo mas provable es que no se pueda o no se sepa como) y se ha dicho que la seguridad de la ps3 es impresionate. Asi que pensando se me presento una duda ¿ que ocurriria si intentaramos atacar desde atrás en vez de de frente? es decir tratar de modificar un savegame pero de juegos de psx que sospecho que la seguridad de estos no pueden estar tan al dia como los actuales.

Por último pido disculpas por si he molestado a alguien o si lo que he dicho no es más que una tontería.
Curiosa idea.

¿Qué te hace pensar que con un save de PS1 vas a conseguir algo?

Saludo.
Koolk escribió:Curiosa idea.

¿Qué te hace pensar que con un save de PS1 vas a conseguir algo?

Saludo.


Yo no voy a conseguir nada dado que no tengo los conocimientos necesarios para ello por supuesto tampoco tengo ni idea de si de ahí se puede sacar algo o no es mas que una tontería lo que se me ocurrió. La idea es muy simple, simplemente estaba pensando por donde intentaría atacar yo si tuviera los conocimientos para ello. He pensado que los sistemas actuales son cada vez mas complicados y seguros y que la psx es un sistema muy antiguo y que antes al menos no se podia acceder a los datos que se guardaban en una memory card sin un hardware específico por lo que tal vez al ser más antiguos podían ser menos seguros y aunque la ps3 cree unas memory card virtuales los datos que cargan los juegos son los mismos que se cargaban cuando se jugaba en la ps1, lo cual es fácil de deducir teniendo en cuenta que actualmente podemos pasar los saves desde una ps2 a una psp o la ps3. Por esto me pareció interesante aunque como ya he dicho mis conocimientos son mínimos y por ello preguntaba la opinion a los expertos de este hilo.
FuMeTa escribió:
Koolk escribió:Curiosa idea.

¿Qué te hace pensar que con un save de PS1 vas a conseguir algo?

Saludo.


Yo no voy a conseguir nada dado que no tengo los conocimientos necesarios para ello por supuesto tampoco tengo ni idea de si de ahí se puede sacar algo o no es mas que una tontería lo que se me ocurrió. La idea es muy simple, simplemente estaba pensando por donde intentaría atacar yo si tuviera los conocimientos para ello. He pensado que los sistemas actuales son cada vez mas complicados y seguros y que la psx es un sistema muy antiguo y que antes al menos no se podia acceder a los datos que se guardaban en una memory card sin un hardware específico por lo que tal vez al ser más antiguos podían ser menos seguros y aunque la ps3 cree unas memory card virtuales los datos que cargan los juegos son los mismos que se cargaban cuando se jugaba en la ps1, lo cual es fácil de deducir teniendo en cuenta que actualmente podemos pasar los saves desde una ps2 a una psp o la ps3. Por esto me pareció interesante aunque como ya he dicho mis conocimientos son mínimos y por ello preguntaba la opinion a los expertos de este hilo.


Es como intentar aprovechar un bug de Windows 3.1 en Windows 7 xD
FuMeTa escribió:Un par de cuestiones (espero no molestar a nadie)
la 1º No deberíais cambiar el nombre del hilo? puesto que ya habeis conseguido ejecutar el exploit y ahora estais mas bien investigando?
y 2º hace tiempo que tengo una duda y aunque creo que éste no es el hilo adecuado dado la actividad de éste la haré aquí.
El caso es que por ejemplo el último exploit de la psp salió del savegame de un demo de un juego y no he leido u oido de nadie que estuviera investigando con saves de juegos (lo que me da a pensar que lo mas provable es que no se pueda o no se sepa como) y se ha dicho que la seguridad de la ps3 es impresionate. Asi que pensando se me presento una duda ¿ que ocurriria si intentaramos atacar desde atrás en vez de de frente? es decir tratar de modificar un savegame pero de juegos de psx que sospecho que la seguridad de estos no pueden estar tan al dia como los actuales.

Por último pido disculpas por si he molestado a alguien o si lo que he dicho no es más que una tontería.


Es que hay que tener a dia de hoy en cuenta una cosa. Sony con el paso de sus consolas y viendo las facilidades que han tenido para acceder a su homebrew, supongo que no seran tan tontos de dejar pasar por alto en esta consola esos "fallos" para esto.
Tampoco es mala idea haberlo pensado la verdad uno nunca sabe( yo hablo por suposiciones) pero lo dicho, sony ha ido aprendiendo y creo que ahora si han mejorado y mucho en cuando a su seguridad :( :(
xtroder escribió:[...]
Es como intentar aprovechar un bug de Windows 3.1 en Windows 7 xD


OFFTOPIC: lo cual no es ninguna tonteria si hablamos de WM_TIMER...
xtroder escribió:
FuMeTa escribió:
Koolk escribió:Curiosa idea.

¿Qué te hace pensar que con un save de PS1 vas a conseguir algo?

Saludo.


Yo no voy a conseguir nada dado que no tengo los conocimientos necesarios para ello por supuesto tampoco tengo ni idea de si de ahí se puede sacar algo o no es mas que una tontería lo que se me ocurrió. La idea es muy simple, simplemente estaba pensando por donde intentaría atacar yo si tuviera los conocimientos para ello. He pensado que los sistemas actuales son cada vez mas complicados y seguros y que la psx es un sistema muy antiguo y que antes al menos no se podia acceder a los datos que se guardaban en una memory card sin un hardware específico por lo que tal vez al ser más antiguos podían ser menos seguros y aunque la ps3 cree unas memory card virtuales los datos que cargan los juegos son los mismos que se cargaban cuando se jugaba en la ps1, lo cual es fácil de deducir teniendo en cuenta que actualmente podemos pasar los saves desde una ps2 a una psp o la ps3. Por esto me pareció interesante aunque como ya he dicho mis conocimientos son mínimos y por ello preguntaba la opinion a los expertos de este hilo.


Es como intentar aprovechar un bug de Windows 3.1 en Windows 7 xD


Suena interesante. ¿Por qué nadie lo ha notificadoun intento de ello aunque sea un fracaso?

Tal vez estén encriptado y todo. No tengo los conocimientos de formatos de archivos. Haber si alguien los saca y nos da información.
f5inet escribió:
xtroder escribió:[...]
Es como intentar aprovechar un bug de Windows 3.1 en Windows 7 xD


OFFTOPIC: lo cual no es ninguna tonteria si hablamos de WM_TIMER...


Esa es buena XD
FuMeTa escribió:la 1º No deberíais cambiar el nombre del hilo? puesto que ya habeis conseguido ejecutar el exploit y ahora estais mas bien investigando?

¡No cambiéis el nombre al hilo! por lo menos hasta que yo consiga ejecutar el exploit... [sonrisa]
Ahora en serio, yo lo que quiero es seguir los pasos de lo que ha hecho Psmaniaco. El va evidentemente por delante de todos (por algo fue quien abrió el hilo) y lo importante es lo que se pueda hacer una vez que se pueda trastear con el hipervisor del otheros. Conseguir ejecutar el exploit es algo difícil, pero sacar algo de provecho... es infinitamente más difícil.
Si se hubiera encontrado algo con los dumps, ya nos habríamos enterado, pero de todas formas si quieres hacer algo o probar alguna idea, la única posibilidad que existe ahora mismo es por medio de ejecutar el exploit y probar en la consola. No hay otra...
Por eso creo que el nombre del hilo está bien.
Saludos.
Como ya dije antes aunque parezca parado el tema sigo con ello,aunque estoy inmerso colaborando en otro proyecto que cuando salga os va a gustar(sobre todo a los fanaticos de PSX y PS2 XD );si todo sale bien pronto os informare de ello.
Un saludo.
Psmaniaco escribió:Como ya dije antes aunque parezca parado el tema sigo con ello,aunque estoy inmerso colaborando en otro proyecto que cuando salga os va a gustar(sobre todo a los fanaticos de PSX y PS2 XD );si todo sale bien pronto os informare de ello.
Un saludo.

De PS2 los que tengan retrocompatibilidad...
A ver si lo sacáis ya (yo ya estoy al tanto ya que leo habitualmente otros foros...)
Saludos.
Psmaniaco escribió:Como ya dije antes aunque parezca parado el tema sigo con ello,aunque estoy inmerso colaborando en otro proyecto que cuando salga os va a gustar(sobre todo a los fanaticos de PSX y PS2 XD );si todo sale bien pronto os informare de ello.
Un saludo.


Si al final has accedido a la scene lo que pasa es que no nos lo has querido contar pillin [ayay] [ayay]
Bueno mira si esto no sale, por lo menos nos alegra con otra cosilla :-|
Me habeis pillao jejejeje [ayay] ,de momento estamos en pruebas a ver si funciona,cuando haya novedades ire informando del tema.
Un saludo.
Te refieres al modchip para ejecutar backups de la PSX y la PS2?
Interesante lo del lector de ps3 conectado al pc y reconocido... ¿Tienes algo de información extra acerca de esto Psmaniaco?
Slds.
Psmaniaco escribió:Como ya dije antes aunque parezca parado el tema sigo con ello,aunque estoy inmerso colaborando en otro proyecto que cuando salga os va a gustar(sobre todo a los fanaticos de PSX y PS2 XD );si todo sale bien pronto os informare de ello.
Un saludo.


Es decir que estas trabajando en el emulador de PSX para PS2?
[tadoramo] [tadoramo] [tadoramo] que genial que alguien como tu este involucrado en el proyecto Psmaniaco [tadoramo] [tadoramo] [tadoramo] [tadoramo]
Exitos..... y esperamos la beta [babas] [babas] [babas] [babas] [babas] [babas] [oki]
Mauvais escribió:
Psmaniaco escribió:Como ya dije antes aunque parezca parado el tema sigo con ello,aunque estoy inmerso colaborando en otro proyecto que cuando salga os va a gustar(sobre todo a los fanaticos de PSX y PS2 XD );si todo sale bien pronto os informare de ello.
Un saludo.


Es decir que estas trabajando en el emulador de PSX para PS2?
[tadoramo] [tadoramo] [tadoramo] que genial que alguien como tu este involucrado en el proyecto Psmaniaco [tadoramo] [tadoramo] [tadoramo] [tadoramo]
Exitos..... y esperamos la beta [babas] [babas] [babas] [babas] [babas] [babas] [oki]


NO, en lo que se está trabajando es en un Modchip para juegos de PSX y PS2 en PS3 con retrocompatibilidad.

P.D. Ya verás como alguno se lia
Ah ok, me emocione al leer el primer mensaje de esta pagina y me deje llevar [+risas] [+risas]
Igual éxito en el proyecto que esto ya va avanzando [beer]
Ahora mismo estoy probado el tema del emu en PS2(en el emulador de la retrocompatible)a ver que tal funciona.
Un saludo.
766 respuestas